module halfadd ( a: Logic, b: Logic ) -> (Logic, Logic) { assign sum = a ^ b; assign carry = a & b; }