module top ( input wire x, output wire y ) { assign x = y; }