module clockdiv_2 ( input wire clk, input wire rst, output wire out_clk ) { proc (clk) { match (rst) { 0 => out_clk = 0, 1 => out_clk = ~out_clk, } } }