module identity ( input wire a, output wire x ) { assign x = a; }