module comparator ( input wire [8] a, input wire [8] b, output wire eq ) { assign eq = ~reduce_or(a ^ b); }